On Thu, 2011-04-21 at 01:45 -0400, V G wrote: > This is extremely frustrating. I've googled for an hour and can't find AN= Y > Verilog example projects for this board. I can't get ANYTHING to compile.= I > just want to blink an LED. I DON'T want to start off with VHDL but all th= e > examples I found were VHDL. >=20 > I found the schematic for the board, but it is not useful until I can get= a > very simple configuration working. >=20 > All I want to do right now is blink an LED, or light up an LED when a but= ton > is pressed, but I have no idea how. I learn best by examples. >=20 > Help, anyone!?!?!??!?!!! >=20 > AAAAAAAAAAAAAAAAAAAAAAAARRRRRRRRRRRGHHHHHHHHHHHHHHHHH!!!!!!!!!!!!!!! Try this: /* Blinks LED when button is pressed, assumes LED is active high and BUTTON is active high */ /* make sure you set your pin constraints to match whatever pins CLK, BUTTON and LED are on. */ module blinkLED (input CLK, input BUTTON, output LED); /* change to whatever is necessary to make the LED blink at a human rate. For example, if your clock is 20MHz, and you want the LED to blick once per second, set your counter to reset at 10million. */ `define MAXCOUNT 32'd10000000 reg [32:0] counter; reg int_LED; always @ (posedge CLK) begin if (counter >=3D MAXCOUNT) begin counter <=3D 0; int_LED <=3D !int_LED; end else begin counter <=3D counter + 1; int_LED <=3D int_LED; end end always @ (posedge CLK) begin if (BUTTON) begin LED <=3D int_LED; end else begin LED <=3D 0; end end endmodule =09 --=20 http://www.piclist.com PIC/SX FAQ & list archive View/change your membership options at http://mailman.mit.edu/mailman/listinfo/piclist .