In SX Microcontrollers, SX/B Compiler and SX-Key Tool, g_daubach wrote: Javlin, >> but the SX28 is one pin short...! hmm... The SX28 has 20 I/O pins - enough for similar projects I did in the past. If you need more free pins, you might consider using a multiplexer like the 74ACT138 which allows you to drive eight display segments by only three SX output pins. ---------- End of Message ---------- You can view the post on-line at: http://forums.parallax.com/forums/default.aspx?f=7&p=1&m=377097#m377175 Need assistance? Send an email to the Forum Administrator at forumadmin@parallax.com The Parallax Forums are powered by dotNetBB Forums, copyright 2002-2009 (http://www.dotNetBB.com)